quartus ii 时序仿真出现了问题

两个输入管脚分别输入了一个时钟信号,而且两个信号是反相的。输出之后应该是输出端s位高电平,co为低电平才对的,但是输出出现了一些峰刺还是什么的。用功能仿真就没有这个问题。请大家帮忙分析一下。

粽子糖果 发表于09-29 09:56 浏览65535次
分享到:

已有1条评论

  • 粽子糖果
    粽子糖果 09-29 09:57

    你的RTL级别仿有毛刺吗?如果只有时序仿真有,说明是由于组合逻辑引起的毛刺。就是说你a,b两条时序,实际电路中达到上升下降沿不是同时的,所以输出的s、co两条线就会跳变出毛刺。最好的解决方法是再加一路时钟CLK,当CLK出现上升或下降沿的时候,进行一次a、b的组合逻辑判断。

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

粽子糖果
粽子糖果(总统)
金币:41624个|学分:51977个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号