自己做了个FPGA板子 调试的时候发现了一些问题

做时钟测试的时候,写了个程序控制两个LED灯每隔200ms交替闪烁,但明显感觉led并不是按照200ms交替闪烁的,有时会顿一下有时会很快的交替,这是什么问题啊?

永不止步步 发表于10-21 11:13 浏览65535次
分享到:

已有2条评论

  • 畅学电子
    畅学电子 10-21 11:21

    逻辑分析仪看一下输出的波形到底是什么,或者查看一下程序的状态机是不是跳转有误。

  • EEskill
    EEskill 10-21 11:20

    示波器的话,测试一下实际输出到LED的波形是什么样的,再对照着写的分频程序看看,可能是哪儿出问题了。或者用chipscope抓一下你输出到LED的数据波形。

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67410个|学分:345377个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号